Tải bản đầy đủ (.doc) (22 trang)

BÀI TẬP KỸ THUẬT SỐ. Các hệ thống số đếm

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (264.19 KB, 22 trang )

BÀI TẬP KỸ THUẬT SỐ
Chương 1: Các hệ thống số đếm
1.1 Biểu diễn các số sau trong hệ nhị phân (binary)
a. 23
b. 14
c. 27
d. 34
ĐS
1-2 Biểu diễn các số sau trong hệ nhị phân (binary)
a. 23H
b. 14H
c. C06AH
d. 5DEFH
ĐS
1.3 Biểu diễn các số sau trong hệ thập phân (decimal)
a. 01101001B
b. 01111111B
c. 10000000B
d. 11111111B
ĐS
1.4 Biểu diễn các số sau trong hệ thập phân (decimal)
a. 1FH
b. 10H
c. FFH
d. 03H
ĐS
1.5 Biểu diễn các số sau trong hệ thập lục phân (hex)
a. 100
b. 128
c. 127
d. 256


ĐS
1.6 Biểu diễn các số sau trong hệ thập lục phân (hex)
a. 01111100B
b. 10110001B
c. 111100101011100000B
d. 0110110100110111101B
ĐS
1.7 Biểu diễn các số cho ở bài 1-1 và 1-3 thành hệ thập lục phân (hex).
1.8 Biểu diễn các số cho ở bài 1-2 và 1-6 thành hệ thập phân (decimal).
1.9 Biểu diễn các số cho ở bài 1-4 và 1-5 thành hệ nhị phân (binary).
1.10 Đổi các số sau sang hệ nhị phân
a. 27,625
b. 12,6875
c. 6,345
d. 7,69
ĐS
Bài tập Kỹ Thuật Số – Trang 1/22


1.11 Đổi các số sau sang hệ bát phân (octal)
a. 1023H
b. ABCDH
c. 5EF,7AH
d. C3,BF2H
1.12 Đổi các giá trị sau thành byte
a. 2KB
b. 4MB
c. 128MB
d. 1GB
ĐS

1.13 Lấy bù 1 các số sau
a. 01111010B
b. 11101001B
c. 00000000B
d. 11111111B
ĐS
1.14 Lấy bù 2 các số sau
a. 10101100B
b. 01010100B
c. 00000000B
d. 11111111B
ĐS
1.15 Lấy bù 9 các số sau
a. 3
b. 14
c. 26
d. 73
ĐS
1.16 Lấy bù 10 các số sau
a. 7
b. 25
c. 62
d. 38
ĐS
1.17 Biểu diễn các số sau trong hệ nhị phân có dấu 4 bit
a. 5
b. -5
c. 7
d. -8
ĐS

1.18 Biểu diễn các số sau trong hệ nhị phân có dấu 8 bit
a. 5
b. -5
c. 34
d. -26
e. -128
f. 64
g. 127
ĐS

Bài tập Kỹ Thuật Số – Trang 2/22


1.19 Cho các số nhị phân có dấu sau, hãy tìm giá trị của chúng
a. 0111B
b. 1000B
c. 0000B
d. 1111B
e. 0011B
f. 1100B
g. 0111111B
h. 00000000B
i. 11111111B
j. 10000000B
ĐS
1.20 Cho các số nhị phân sau, hãy xác định giá trị của chúng nếu chúng là (i) số nhị
phân không dấu; (ii) số nhị phân có dấu
a. 0000B
b. 0001B
c. 0111B

d. 1000B
e. 1001B
f. 1110B
g. 1111B
ĐS
1.21 Biểu diễn các số sau thành mã BCD (còn gọi là mã BCD 8421 hay mã BCD
chuẩn)
a. 2
b. 9
c. 10
d. 255
ĐS
1.22 Làm lại bài 1-21, nhưng đổi thành mã BCD 2421 (còn gọi là mã 2421)
ĐS
1.23 Làm lại bài 1-21, nhưng đổi thành mã BCD quá 3 (còn gọi là mã quá 3 – XS3)
ĐS
1.24 Cho các mã nhị phân sau, hãy đổi sang mã Gray
a. 0111B
b. 1000B
c. 01101110B
d. 11000101B
ĐS
1.25 Cho các mã Gray sau, hãy đổi sang mã nhị phân
a. 0110B
b. 1111B
c. 11010001B
d. 00100111B
ĐS
1.26 Cho các mã nhị phân sau, hãy xác định giá trị của chúng nếu chúng là (i) số nhị
phân khơng dấu; (ii) số nhị phân có dấu; (iii) mã BCD; (iv) mã 2421; (v) mã quá 3;

(vi) mã Gray
a. 1000011B
b. 110101B

Bài tập Kỹ Thuật Số – Trang 3/22


c. 1101100B
d. 01000010B
ĐS
1.27 Làm lại bài 1-26 với
a. 10000101B
b. 0101101B
c. 10000000B
d. 01111111B
ĐS
1.28 Thực hiện các phép toán sau trên số nhị phân có dấu 4 bit
a. 3+4
b. 4-5
c. -8+2
d. -4-3
1.29 Thực hiện các phép toán sau trên số nhị phân có dấu 4 bit, nếu kết quả bị tràn thì
tìm cách khắc phục
a. 5-7
b. 5+7
c. -2+6
d. -1-8
1.30 Thực hiện các phép tốn sau trên số nhị phân có dấu 8 bit và cho biết kết quả có
bị tràn hay khơng
a. 15+109

b. 127-64
c. 64+64
d. -32-96
ĐS
1.31 Thực hiện các phép toán sau trên số BCD
a. 36+45
b. 47+39
c. 66-41
d. 93-39
e. 47-48
f. 16-40

Bài tập Kỹ Thuật Số – Trang 4/22


Chương 2: Đại số Boole
2-1

Chứng minh các đẳng thức sau bằng đại số
a. AB  AD  BC D ( A  D)( A  C )( B  D)
b.

C D  BC  ABD ( A  C )( B  C )( B  D)

c.

Z  XY  X Z ( X  Z )(Y  Z )

d.
e.

2-2

A  B A  B
AB ( A  B  C )  ABC
Cho bảng chân trị sau

C B A F1 F2
0 0 0 0 1
0 0 1 0 0
0 1 0 1 0
0 1 1 0 1
1 0 0 0 1
1 0 1 1 1
1 1 0 0 1
1 1 1 1 0
a. Viết biểu thức của hàm F1 và F2
b. Viết biểu thức hàm F1 dưới dạng tích các tổng (POS)
c. Viết biểu thức hàm F2 dưới dạng tổng các tích (SOP)
d. Viết hàm F1 dưới dạng Σ và Π
e. Viết hàm F2 dưới dạng Σ và Π
2-3 Cho bảng chân trị sau
A B C F1 F2
0 0 0 1 1
0 0 1 0 X
0 1 0 X 0
0 1 1 0 1
1 0 0 0 1
1 0 1 1 X
1 1 0 X X
1 1 1 0 0

a. Viết biểu thức các hàm F1 và F2
b. Viết dạng Σ và Π cho hàm F1 và F2
2-4 Cho các hàm sau
F1 ( A, B, C , D)  ABC D  ABD  ACD  A.C

2-5

F2 ( A, B, C , D) ( B  C  D)( A  C  D)( B  D)
Hãy lập bảng chân trị của F1 và F2
Cho các hàm sau
F1 ( A, B, C , D)  (0,1,2,4,6,8,12)  d (3,13,15)
F2 ( A, B, C , D) (1,3,4,5,11,12,14,15).d (0,6,7,8)

2-6

Hãy lập bảng chân trị của F1 và F2
Cho giản đồ xung sau

Bài tập Kỹ Thuật Số – Trang 5/22


A
B
C
D
F1
F2
F3
a. Viết biểu thức các hàm F1, F2 và F3
b. Viết dạng Σ và Π cho hàm F1, F2 và F3

2-7

Cho bảng chân trị sau

A B C D F1 F2
0 0 0 0 1 1
0 0 0 1 0 1
0 0 1 0 0 0
0 0 1 1 0 1
0 1 0 0 1 1
0 1 0 1 0 1
0 1 1 0 0 0
0 1 1 1 0 1
1 X X X 1 0
a. Viết biểu thức các hàm F1 và F2
b. Viết dạng Σ và Π cho hàm F1 và F2
2-8 Biểu diễn các hàm đã cho trong các bài từ 2-2 đến 2-7 trên bìa Karnaugh
2-9 Cho sơ đồ mạch sau, hãy viết biểu thức chuẩn 1 và 2 của F1 và F2
Y

F1

X

Z
F2

2-10 Cho sơ đồ mạch và giản đồ xung các tín hiệu vào như sau, hãy vẽ dạng tín hiệu

F.

A
B
C

F

Bài tập Kỹ Thuật Số – Trang 6/22


A
B
C

2-11 Cho sơ đồ mạch như sau
A

Y 0

B

Y 1

Y 2

E

Y 3
D

Lập bảng chân trị và viết các hàm trong các trường hợp sau

a. E=0 và D=0
b. E=0
2-12 Tìm dạng chuấn 1 và 2 của các hàm sau
F1 ( X , Y , Z )  XY  YZ  XZ
F2 ( X , Y , Z )  XY  X Z
F3 ( A, B, C )  A  C  AB
F4 ( A, B, C ) ( A  B )  ABC
2-13 Dùng bìa Karnaugh rút gọn các hàm sau
F1 ( A, B, C , D)  (0,1,2,4,5,8,10,12,14)
F2 ( A, B, C )  (0).d (1,2,3,4,5,6,7)
F3 ( A, B, C , D)  ABC D  AB  A(C  D)  ABC  C D
F4 ( A, B, C , D, E ) (1,3,4,5,6,9,12,14,20,21,22,25,28,29).d (13,16,30)
2-14 Dùng bìa Karnaugh rút gọn các hàm sau
F1 ( A, B, C , D)  (1,2,4,7,9,15)  d (3,5)
F2 ( A, B, C , D)  (0,1,2,4,5,8,10,11,14,15)
F3 ( A, B, C , D) ( 2,5,7,8,13,15).d (0,10)
F4 ( A, B, C , D) (0,2,4,5,6,8,10,12,13)
2-15 Cho hàm F(A,B,C,D) biểu diễn trên giản đồ xung như sau

Bài tập Kỹ Thuật Số – Trang 7/22


A
B
C
D
F
a. Viết biểu thức chuẩn 2 của hàm F
b. Biểu diễn hàm trên bìa Karnaugh
c. Rút gọn hàm F và vẽ mạch thực hiện chỉ dùng cổng NAND

2-16 Rút gọn hàm sau và thực hiện bằng cổng NAND 2 ngõ vào

F ( A, B, C , D)  (4,6,9,10,12,14)  d (8,11,13)
2-17 Rút gọn hàm sau và thực hiện bằng cổng NOR 2 ngõ vào

F ( A, B, C , D) (0,2,3,4,6,9,10,11).d (7,13,15)
2-14 Thực hiện hàm F ( A, B, C , D)  B(C  D)  AC D chỉ dùng cổng NAND
2-15 Thực hiện hàm F ( A, B, C , D ) ( A  B )(C  BCD) chỉ dùng cổng NOR
2-16 Cho các hàm sau

F1 ( A, B, C , D)  A  B  ( BCD  BCD )C  A  B  BDC
F2 ( A, B, C , D) ( A  C )(C  D)  AB D
F3 ( A, B, C , D)  AB  ABD( B  C D)
a. Hãy biểu diễn các hàm trên bìa Karnaugh
b. Viết biểu thức tích các tổng (POS) cho các hàm
c. Rút gọn và vẽ mạch thực hiện dùng toàn cổng NAND
2-17 Cho các hàm sau
F1 ( A, B, C , D)  (0,2,3,4,6,7,8)  d (5,12,14)
F2 ( A, B, C , D) ( 2,3,8,9,10,12,14,15).d (0,11,13)
a.
b.
c.
d.

Rút gọn hàm F1 và thực hiện F1 dùng cấu trúc cổng AND-OR
Rút gọn hàm F2 và thực hiện F2 dùng cấu trúc cổng OR-AND
Thực hiện F1 dùng cấu trúc toàn NAND
Thực hiện F2 dùng cấu trúc toàn NOR
2-18 Cho bảng chân trị sau
G1

0
X
1
1
1
1
1
1
1
1

G2
X
1
0
0
0
0
0
0
0
0

X2
X
X
0
0
0
0

1
1
1
1

X1
X
X
0
0
1
1
0
0
1
1

X0
X
X
0
1
0
1
0
1
0
1

Y0

0
0
1
0
0
0
0
0
0
0

Y1
0
0
0
1
0
0
0
0
0
0

Y2
0
0
0
0
1
0

0
0
0
0

Y3
0
0
0
0
0
1
0
0
0
0

Y4
0
0
0
0
0
0
1
0
0
0

Bài tập Kỹ Thuật Số – Trang 8/22


Y5
0
0
0
0
0
0
0
1
0
0

Y6
0
0
0
0
0
0
0
0
1
0

Y7
0
0
0
0

0
0
0
0
0
1


a. Viết biểu thức các hàm Y0 đến Y7
b. Vẽ sơ đồ logic của các hàm trên

Bài tập Kỹ Thuật Số – Trang 9/22


Chương 3: Hệ tổ hợp
3-1

Cho một hệ tổ hợp hoạt động theo bảng sau
E X1 X0 Y0 Y1 Y2 Y3
1 X X 0
0
0
0
0 0
0
1
0
0
0
0 0

1
0
1
0
0
0 1
0
0
0
1
0
0 1
1
0
0
0
1
a. Thiết kế hệ tổ hợp này dùng cổng bất kỳ
b. Dùng hệ tổ hợp đã thiết kế ở câu a (vẽ ở dạng sơ đồ khối) và các cổng logic
thực hiện hàm
F ( A, B, C )  ( 4,6)

Thiết kế mạch giải mã 2421 thành thập phân (mã 1 trong 10)
a. Thực hiện bằng cổng logic
b. Thực hiện bằng mạch giải mã (decoder) 416 có ngõ ra tích cực mức 1
3-3 Thiết kế mạch cộng bán phần (HA) thực hiện bằng cổng logic. Sau đó, chỉ dùng
HA (vẽ ở dạng sơ đồ khối) để thực hiện phép tính (x+1)2, biết rằng x là số nhị phân 2
bit (x = x1x0).
3-4 Một mạch tổ hợp có 5 ngõ vào A, B, C, D, E và một ngõ ra Y. Ngõ vào là một từ
mã thuộc bộ mã như sau

E D C B A
0 0 0 0 0
0 0 1 1 1
0 1 0 0 0
0 1 1 1 1
1 0 0 0 0
1 0 1 1 1
1 1 0 0 0
1 1 1 1 1
a. Thiết kế mạch tổ hợp dùng cổng AND-OR sao cho Y=1 khi ngõ vào là một từ
mã đúng và Y=0 khi ngõ vào là một từ mã sai.
b. Thực hiện lại câu a chỉ dùng toàn cổng NAND
3-5 Cho một hệ tổ hợp hoạt động theo bảng sau
E X1 X0 Y0 Y1 Y2 Y3
1 X X 1
1
1
1
0 0
0
0
1
1
1
0 0
1
1
0
1
1

0 1
0
1
1
0
1
0 1
1
1
1
1
0
a. Thiết kế hệ tổ hợp này dùng toàn cổng NOT và NAND 3 ngõ vào
b. Dùng hệ tổ hợp đã thiết kế ở câu a (vẽ ở dạng sơ đồ khối) và một cổng AND 2
ngõ vào để thực hiện một hệ tổ hợp hoạt động theo giản đồ xung như sau (với
U, V, W là các ngõ vào; Z là ngõ ra)
3-2

Bài tập Kỹ Thuật Số – Trang 10/22


U
V
W
Z
Thực hiện mạch cộng toàn phần (FA) trên cơ sở mạch chọn kênh (Mux) 41
Lập bảng chân trị của mạch chọn kênh (Mux) 161. Sau đó, thực hiện mạch
chọn kênh 161 trên cơ sở mạch chọn kênh 41.
3-8 Cho 4 bộ mã như sau
A=a3a2a1a0

B=b3b2b1b0
C=c3c2c1c0
D=d3d2d1d0
Hãy thiết kế mạch chọn mã (với Y= y3y2y1y0 là ngõ ra) trên cơ sở mạch chọn kênh
41 theo bảng chân trị sau
x1 x0 Y
0 0 A
0 1 B
1 0 C
1 1 D
3-9 Thiết kế mạch chuyển mã quá 3 thành nhị phân chỉ dùng vi mạch 7483 (mạch
cộng 4 bit ).
3-10 Thiết kế mạch chuyển mã BCD 2 decade thành nhị phân chỉ dùng vi mạch 7483
(mạch cộng 4 bit ).
3-11 Thiết kế mạch giải mã BCD thành mã LED 7 đoạn anode chung dùng cổng
logic
3-12 Làm lại bài trên dùng vi mạch 74154 (mạch giải mã 416) và các cổng cần
thiết
3-13 Thiết kế mạch trừ hai số một bit, trong đó V là biến điều khiển, C i-1 là số mượn
ngõ vào, Ci là số mượn ngõ ra. Khi V=0 thì mạch thực hiện D=A-B, khi V=1 thì thực
hiện D=B-A
3-14 Thiết kế mạch trừ hai số 3 bit A và B với biến điều khiển V, dựa trên cơ sở mạch
trừ hai số một bit ở bài trên.
3-15 Thiết kế mạch trừ hai số 3 bit A và B sao cho kết quả luôn luôn dương.
3-16 Thiết kế mạch cộng/trừ hai số nhị phân 4 bit X và Y dùng vi mạch 7483 (mạch
cộng 4 bit) và các cổng logic (nếu cần). Mạch có tín hiệu điều khiển là v, khi v=0
mạch thực hiện X+Y, khi v=1 mạch thực hiện X-Y
3-17 Chỉ sử dụng mạch cộng toàn phần FA, hãy thiết kế hệ tổ hợp có bảng chân trị
sau
x1 x0 y0 y1 y2 y3

0 0 0 1 0 0
0 1 1 0 1 0
1 0 1 0 1 0
1 1 0 1 1 1
3-18 Dùng vi mạch 7483 (mạch cộng 4 bit) và các cổng logic (nếu cần) để thiết kế
mạch tổ hợp có hoạt động như sau
3-6
3-7

Bài tập Kỹ Thuật Số – Trang 11/22


x3
x2
x1
x0
C

y3
y2
y1
y0

Nếu C=0 thì y3y2y1y0 = x3x2x1x0
Nếu C=1 thì y3y2y1y0 = bù 2 của x3x2x1x0
3-19 Cho hàm F với 4 biến vào. Hàm có trị bằng 1 nếu số lượng biến vào có trị bằng
1 nhiều hơn hoặc bằng số lượng biến có trị bằng 0. Ngược lại, hàm có trị bằng 0.
a. Hãy biểu diễn hàm trên bìa Karnaugh
b. Rút gọn hàm và vẽ mạch thực hiện dùng toàn cổng NAND
3-20 Thiết kế mạch chuyển mã nhị phân 4 bit sang mã BCD chỉ dùng vi mạch so

sánh 4 bit (ngõ ra tích cực cao) và vi mạch cộng tồn phần FA.
3-21 Thiết kế mạch chuyển mã Gray 4 bit sang mã nhị phân, sử dụng
a. Các cổng logic.
b. Mạch giải mã (decoder) 416.
3-22 Thiết kế mạch chuyển mã BCD thành 7421 sử dụng decoder 416 có ngõ ra
tích cực mức 0 và không quá 4 cổng NAND.
3-23
a.

Thiết kế mạch so sánh hai số nhị phân một bit A và B với các ngõ ra tích cực
mức 1 sử dụng cổng logic.
b. Thiết kế mạch so sánh hai số nhị phân 4 bit X=x 3x2x1x0 và Y=y3y2y1y0 sử dụng
cổng logic. Biết rằng ngõ ra F=1 khi X=Y và F=0 khi X≠Y.
c. Thực hiện mạch ở câu (b) chỉ dùng mạch so sánh đã thiết kế ở câu (a) và mộ
cổng AND. Vẽ mạch ở dạng sơ đồ chức năng .
3-24 Mạch tổ hợp có chức năng chuyển từ mã BCD thành mã BCD quá 3.
a. Thiết kế mạch sử dụng cấu trúc NOR-NOR.
b. Thiết kế mạch sử dụng vi mạch 7483 (mạch cộng 4 bit).
3-25 Sử dụng các mạch chọn kênh (Mux) 81 và mạch chọn kênh 41 để thiết kế
mạch chọn kênh 321.
3-26 Cho F là một hàm 4 biến A, B, C, D. Hàm F=1 nếu trị thập phân tương ứng với
các biến của hàm chia hết cho 3 hoặc 5, ngược lại F=0.
a. Lập bảng chân trị cho hàm F.
b. Thực hiện hàm F bằng mạch chọn kênh (Mux) 161.
c. Thực hiện hàm F bằng mạch chọn kênh (Mux) 81 và các cổng (nếu cần).
d. Thực hiện hàm F bằng mạch chọn kênh (Mux) 41 và các cổng (nếu cần).
e. Hãy biểu diễn hàm F trên bìa Karnaugh
f. Hãy rút gọn F và thực hiện F chỉ dùng các mạch cộng bán phần HA.
3-27 Cho hàm F ( A, B, C )  AB  BC  AC . Hãy thiết kế mạch thực hiện hàm F chỉ
sử dụng

a. Một vi mạch 74138 (decoder 38, ngõ ra tích cực thấp) và một cổng có tối đa
4 ngõ vào.
b. Một vi mạch 74153 (mux 41, có ngõ cho phép tích cực thấp).
c. Hai mạch cộng bán phần HA và một cổng OR.
3-28 Sử dụng một decoder 416 không có ngõ cho phép (enable) để thực hiện một
decoder 38 có ngõ cho phép. Khơng sử dụng thêm cổng.

Bài tập Kỹ Thuật Số – Trang 12/22


3-29 Sử dụng ba mạch chọn kênh (Mux) 21 để thực hiện một mạch chọn kênh

41. Không dùng thêm cổng.
3-30 Sử dụng hai vi mạch 74148 (mạch mã hóa 83) để thực hiện một mạch mã hóa
(encoder) 164.

Bài tập Kỹ Thuật Số – Trang 13/22


Chương 4: Hệ tuần tự
Thiết kế mạch đếm nối tiếp mod 16 đếm lên dùng T-FF (xung clock cạnh lên,
ngõ Pr và ngõ Cl tích cực mức thấp).
4-2 Thiết kế mạch đếm nối tiếp mod 16 đếm xuống dùng T-FF (xung clock cạnh lên,
ngõ Pr và ngõ Cl tích cực mức thấp).
4-3 Dựa trên kết quả bài 4-1, thiết kế mạch đếm nối tiếp mod 10 đếm lên
012…90…
4-4 Dựa trên kết quả bài 4-2, thiết kế mạch đếm nối tiếp mod 10 đếm xuống
151413…615…
4-5 Dựa trên kết quả bài 4-2, thiết kế mạch đếm nối tiếp mod 10 đếm xuống
987…09…

4-6 Nếu sử dụng JK-FF hoặc D-FF thay cho T-FF trong các bài 4-1 và 4-2 thì thay
đổi thế nào?
4-7 Thiết kế mạch đếm nối tiếp có nội dung thay đổi theo quy luật của mã 2421, sử
dụng JK-FF (xung clock cạnh xuống, ngõ Pr và ngõ Cl tích cực mức cao)
4-8 Thiết kế mạch đếm nối tiếp lên/xuống 4 bit dùng T-FF (xung clock cạnh xuống)
với biến điều khiển U / D . Khi U / D =1 thì mạch đếm lên, khi U / D =0 thì mạch đếm
xuống.
4-9 Thiết kế mạch đếm song song dùng JK-FF (xung clock cạnh xuống) có dãy đếm
như sau
000010011100110111000…
4-10 Làm lại bài 4-9 với yêu cầu các trạng thái không sử dụng trong dãy đếm được
đưa về trạng thái 111 ở xung clock kế tiếp.
4-11 Làm lại bài 4-9 dùng D-FF.
4-12 Làm lại bài 4-9 dùng T-FF.
4-13 Làm lại bài 4-9 dùng SR-FF.
4-14 Thiết kế mạch đếm song song mod 10 có nội dung thay đổi theo quy luật của
mã 2421 dùng T-FF.
4-15 Cho mạch đếm sau

T

Q

C K
Q

B

1


T

PR

1

Q

C

C K
Q

C LR

A

PR

Q

C K
C LR

C K

T

C LR


1

PR

4-1

Q

Hãy vẽ dạng sóng A, B, C theo CK và cho biết dung lượng đếm của mạch
4-16 Cho mạch đếm sau

Bài tập Kỹ Thuật Số – Trang 14/22


1

S

A

Q

S

C K
0

R

B


Q

S

C K
Q

C

Q

C K

R

Q

R

Q

C K

a. Viết hàm kích thích (biểu thức các ngõ vào) cho mỗi FF.
b. Vẽ graph (giản đồ) trạng thái của bộ đếm.
c. Cho biết hệ số đếm của bộ đếm.
d. Bộ đếm có tự kích được khơng? Giải thích?
4-17 Cho mạch đếm sau
T


Q

A

T

C K

Q

B

T

C K

Q

C K

Q

Q

Q

C K

a.

b.
c.
d.

Viết hàm kích thích (biểu thức các ngõ vào) cho mỗi FF.
Lập bảng trạng thái chuyển đổi của mạch.
Vẽ graph (giản đồ) trạng thái của bộ đếm.
Bộ đếm có tự kích được khơng? Giải thích?
4-18 Cho mạch đếm sau

T

A

Q

T

C K

B

Q

C K
Q

Q

C K


a.
b.
c.
d.
e.
f.

Viết hàm kích thích (biểu thức các ngõ vào) cho mỗi FF.
Lập bảng trạng thái chuyển đổi của mạch.
Vẽ graph (giản đồ) trạng thái của bộ đếm và cho biết hệ số đếm.
Vẽ giản đồ tín hiệu ra, giả sử trạng thái đầu là AB=11.
Mạch có cần định trạng thái đầu hay khơng? Giải thích?
Nếu cần xây dựng bộ đếm có mod 12 thì cần ghép nối tiếp thêm bao nhiêu FF?
Có bao nhiêu cách ghép và vẽ mạch kết nối mỗi cách ghép.
4-19 Cho mạch đếm sau

T

Q

C K

A

T

Q

B


C K
Q

T

Q

C K
Q

C K

Bài tập Kỹ Thuật Số – Trang 15/22

Q

C


a.
b.
c.
d.
e.
4-20
4-21
4-22
4-23
4-24


Viết hàm kích thích (biểu thức các ngõ vào) cho mỗi FF.
Lập bảng trạng thái chuyển đổi của mạch.
Vẽ graph (giản đồ) trạng thái của bộ đếm và cho biết hệ số đếm.
Bộ đếm có tự kích được khơng? Giải thích?
Vẽ giản đồ xung ở ngõ ra các FF theo xung CK, biết trạng thái đầu là
ABC=011
Sử dụng một vi mạch 7490 để thực hiện mạch đếm mod 10.
Sử dụng một vi mạch 7492 để thực hiện mạch đếm mod 12.
Sử dụng một vi mạch 7493 để thực hiện mạch đếm mod 16.
Sử dụng một vi mạch 7490 để thực hiện mạch đếm mod 6.
Sử dụng hai vi mạch 7490 để thực hiện mạch đếm mod 60.

Bài tập Kỹ Thuật Số – Trang 16/22


Phụ lục A: Các vi mạch cổng và FF thông dụng
4

4
5

6

5

6

5


74LS32

4

6

74LS02

6

5

9

3

74LS02

9
10

12
11

CLK

Q

Q


13

1
PR

Q

6

14
12

CLK
K

Q

7

13

J

Q

Q

K

1

PR

4
K

9
74LS109

5

11
13

CL

2

Q

CLK
Q

6

12

J

Q


Q

9

CLK
K

74LS112
15

1

J

10

CLK

74LS109

3

8
74LS74

5
3

J


CL

4

9

CLK

6

Q

CL

D

11

13
74LS86

74LS74

2

12

8

10

5

13

12

74LS86

Q

PR

D

11

10

74LS86

2

74LS32

8

4

74LS86


11

13

11

2

10

74LS02

4

3

12

8

PR

1

74LS00

9

74LS02


11

13

74LS32

5

1

3

10

CL

2

11

12

8

74LS32

74LS04

13


74LS00

4

3

2

9

74LS00

12

74LS08

PR

74LS00

1

10
74LS08

4

13

12


8

15

2

10
74LS04

9

74LS08

3

11

74LS04

6

74LS08

1

8

74LS04


3

2

9

10

1

74LS04

6

PR

74LS04

5

CL

3

CL

2

7
74LS112


14

1

Bài tập Kỹ Thuật Số – Trang 17/22


Phụ lục B: Các vi mạch tổ hợp thông dụng
Mạch giải mã (decoder) 24, 38, 416
2
3
1

A
B

Y
Y
Y
Y

G

0
1
2
3

4

5
6
7

1
2
3

A
B
C

74LS139
14
13
15

A
B

Y
Y
Y
Y

G

0
1
2

3

6
4
5

12
11
10
9

Y
Y
Y
Y
Y
Y
Y
Y

G 1
G 2A
G 2B

0
1
2
3
4
5

6
7

15
14
13
12
11
10
9
7

23
22
21
20

A
B
C
D

74LS138
18
19

74LS139

G 1
G 2


0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15

1
2
3
4
5
6
7
8
9
10
11
13

14
15
16
17

74LS154

Mạch mã hóa (encoder) có ưu tiên 83, 104
1
1
1
1

0
1
2
3
1
2
3
4

0
1
2
3
4
5
6
7


5

EI

9
7
6

A0
A1
A2

11
12
13
1
2
3
4
5
10

14

G S

15

EO


1
2
3
4
5
6
7
8
9

A
B
C
D

9
7
6
14

74LS147

74LS148

Mạch chọn kênh (mux) 81, 41, 21

1
1
1

1

4
3
2
1
5
4
3
2

11
10
9
7

D
D
D
D
D
D
D
D

0
1
2
3
4

5
6
7

W
Y

6

6
5
4
3

5
1
1
1
1

A
B
C
G

0
1
2
3


14
2
1
15

1
1
1
1

C
C
C
C

0
1
2
3

1Y

2
2
2
2

C
C
C

C

0
1
2
3

2Y

7

9

1
15

A
B
1G
2G

74LS151

Mạch phân kênh (demux) 14
2
1
14
15

A

B
1G
1C
2G
2C

1
1
1
1
2
2
2
2

Y
Y
Y
Y
Y
Y
Y
Y

S
S
S
S

1

2
3
4

0
1
2
3
0
1
2
3

7
6
5
4
9
10
11
12

74LS155

Mạch cộng nhị phân 4 bit
10
8
3
1
11

7
4
16
13

A
A
A
A

1
2
3
4

B
B
B
B

1
2
3
4

C 0

1A
1B
2A

2B
3A
3B
4A
4B
A /B
G
74LS157

74LS153

13
3

2
3
5
6
11
10
14
13

C 4

9
6
2
15


14

74LS83

Mạch so sánh 4 bit, 8 bit

Bài tập Kỹ Thuật Số – Trang 18/22

1Y
2Y
3Y
4Y

4
7
9
12


1
1
1
1

0
2
3
5
9
11

14
1
2
3
4

A
A
A
A
B
B
B
B
A
A
A

0
1
2
3
0
1
2
3
=Bi
>Bi


2
4
6
8
11
13
15
17
3
5
7
9
12
14
16
18

7
6
5

AA=Bo
A>Bo

74LS85

P
P
P

P
P
P
P
P

0
1
2
3
4
5
6
7

Q
Q
Q
Q
Q
Q
Q
Q

0
1
2
3
4
5

6
7

19

P=Q

1

P>Q

74LS682

Mạch tạo/kiểm tra parity
1
1
1
1

8
9
0
1
2
3
1
2
4

A

B
C
D
E
F
G
H
I

5

EVEN

6

O D D

74LS280

Mạch chuyển mã BCD mã LED 7 đoạn anode chung
7
1
2
6
4
5
3

1
2

4
8
B I/R B O
R BI
LT

A
B
C
D
E
F
G

13
12
11
10
9
15
14

74LS47

Mạch đệm 8 bit

1
1
1
1


2
4
6
8
1
3
5
7

1
19

1
1
1
1
2
2
2
2

A
A
A
A
A
A
A
A


1
2
3
4
1
2
3
4

1G
2G
74LS244

1Y
1Y
1Y
1Y
2Y
2Y
2Y
2Y

1
2
3
4
1
2
3

4

1
1
1
1
9
7
5
3

8
6
4
2

2
3
4
5
6
7
8
9
19
1

A
A
A

A
A
A
A
A

1
2
3
4
5
6
7
8

B
B
B
B
B
B
B
B

1
2
3
4
5
6

7
8

G
D IR
74LS245

Bài tập Kỹ Thuật Số – Trang 19/22

18
17
16
15
14
13
12
11


Phụ lục C: Các vi mạch tuần tự thông dụng
Mạch đếm nhị phân 4 bit đồng bộ
1
2

A

Q
Q
Q
Q


C LR

3
4
5
6

A
B
C
D

13

A

12

Q
Q
Q
Q

C LR

74LS393

A
B

C
D

11
10
9
8

74LS393
3
4
5
6
7
10
2
9
1

A
B
C
D

QA
QB
QC
QD
RCO


ENP
ENT
CLK
LOAD
CLR

14
13
12
11
15

74LS163

Các ngõ vào

Các ngõ ra

CLR

LOAD

ENP

ENT

L

x


x

H

L

H

CLK

Chức năng

QA

QB

QC

QD

x

L

L

L

L


Reset về 0

x

x

D

C

B

A

Nhập dữ liệu
vào

H

x

L

Không thay đổi

Không đếm

H

H


L

x

Không thay đổi

Không đếm

H

H

H

H

Đếm lên

Đếm

x

x

x

x

Không thay đổi


Không đếm

RCO (Ripple Carry Out) = ENT.QA.QB.QC.QD
Mạch đếm lên/xuống đồng bộ nhị phân 4 bit
15
1
10
9
5
4

11
14

A
B
C
D

QA
QB
QC
QD

UP
DN
LOAD
CLR


CO
BO

3
2
6
7
12
13

74LS193

UP

Chức năng

DN

LOAD

CLR

H

H

L

Đếm lên


H

H

L

Không đếm

H

H

L

Đếm xuống

H

H

L

Không đếm

x

x

L


L

Nhập dữ liệu
vào

x

x

x

H

Reset về 0

Mạch đếm mod 10 (mod 2 và mod 5)

Bài tập Kỹ Thuật Số – Trang 20/22


14
1
2
3
6
7

A
B
R

R
R
R

0 (1 )
0 (2 )
9 (1 )
9 (2 )

Q
Q
Q
Q

A
B
C
D

12
9
8
11

1
4

C KA
C KB


2

C LR

Q
Q
Q
Q

3
5
6
7

A
B
C
D

74LS390

Mạch đếm mod 12 (mod 2 và mod 6)
A
B

6
7

R0(1)
R0(2)


12
11
9
8

QA
QB
QC
QD

74LS92

Mạch đếm mod 16 (mod 2 và mod 8)
14
1
2
3

A
B
R0(1)
R0(2)

QA
QB
QC
QD

12

9
8
11

74LS93

Thanh ghi dịch PIPO
3
4
6

11
13
14

9
1

D1
D2
D3
D4
D5
D6

Q1
Q2
Q3
Q4
Q5

Q6

2
5
7
10
12
15

CLK
CLR
74LS174

Thanh ghi dịch SIPO
1
2

8
9

A
B

CLK
CLR

QA
QB
QC
QD

QE
QF
QG
QH

3
4
5
6
10
11
12
13

74LS164

Thanh ghi dịch PISO
10
11
12
13
14
3
4
5
6
2
15
1


SER
A
B
C
D
E
F
G
H
CLK
INH
SH/LD

14

C KA
C KB
C LR
74LS390

74LS90

14
1

15
12

QH
QH


9
7

74LS165

Thanh ghi dịch trái/ phải PIPO

Bài tập Kỹ Thuật Số – Trang 21/22

Q
Q
Q
Q

A
B
C
D

13
11
10
9


2
3
4
5

6
7

SR
A
B
C
D
SL

11

QA
QB
QC
QD

15
14
13
12

CLK
S0
S1
CLR

9
10
1


74LS194

Mạch chốt 8 bit

1
1
1
1

3
4
7
8
3
4
7
8

1
11

D
D
D
D
D
D
D
D


0
1
2
3
4
5
6
7

O C
G
74LS373

Q
Q
Q
Q
Q
Q
Q
Q

0
1
2
3
4
5
6

7

2
5
6
9
1
1
1
1

2
5
6
9

1
1
1
1

3
4
7
8
3
4
7
8


1
11

D
D
D
D
D
D
D
D

0
1
2
3
4
5
6
7

Q
Q
Q
Q
Q
Q
Q
Q


0
1
2
3
4
5
6
7

2
5
6
9
12
15
16
19

11
1

O C
C LK

2
3
4
5
6
7

8
9

C
O C
D
D
D
D
D
D
D
D

1
2
3
4
5
6
7
8

74LS374
74LS573

Bài tập Kỹ Thuật Số – Trang 22/22

Q
Q

Q
Q
Q
Q
Q
Q

1
2
3
4
5
6
7
8

19
18
17
16
15
14
13
12



×