Tải bản đầy đủ (.pdf) (161 trang)

(Luận văn thạc sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Bạn đang xem bản rút gọn của tài liệu. Xem và tải ngay bản đầy đủ của tài liệu tại đây (8.99 MB, 161 trang )

BỘ GIÁO DỤC VÀ ĐÀO TẠO

BỘ KHOA HỌC VÀ CÔNG NGHỆ

VIỆN NĂNG LƯỢNG NGUYÊN TỬ VIỆT NAM

ĐẶNG LÀNH

NGHIÊN CỨU, XÂY DỰNG HỆ THIẾT BỊ THU NHẬN VÀ
XỬ LÝ SỐ LIỆU DỰA TRÊN KỸ THUẬT DSP QUA ỨNG
DỤNG FPGA PHỤC VỤ NGHIÊN CỨU VẬT LÝ HẠT NHÂN
THỰC NGHIỆM

LUẬN ÁN TIẾN SĨ VẬT LÝ

ĐÀ LẠT, 2013


BỘ GIÁO DỤC VÀ ĐÀO TẠO

BỘ KHOA HỌC VÀ CÔNG NGHỆ

VIỆN NĂNG LƯỢNG NGUYÊN TỬ VIỆT NAM

Đặng Lành

NGHIÊN CỨU, XÂY DỰNG HỆ THIẾT BỊ THU NHẬN VÀ
XỬ LÝ SỐ LIỆU DỰA TRÊN KỸ THUẬT DSP QUA ỨNG
DỤNG FPGA PHỤC VỤ NGHIÊN CỨU VẬT LÝ HẠT NHÂN
THỰC NGHIỆM
Chuyên ngành: Vật lý Nguyên tử


Mã số: 62.44.01.06
LUẬN ÁN TIẾN SĨ VẬT LÝ

Người hướng dẫn khoa học: PGS TS Nguyễn Nhị Điền

Đà Lạt, 2013


i

LỜI CAM ĐOAN
Tơi xin cam đoan đây là cơng trình nghiên cứu chủ yếu do tôi thực hiện dưới
sự hướng dẫn khoa học của PGS TS Nguyễn Nhị Điền. Bên cạnh đó, tơi cịn nhận
được sự tham gia hỗ trợ ñắc lực của các ñồng nghiệp trong nhóm nghiên cứu. Các
số liệu thực nghiệm và kết quả nghiên cứu nêu trong luận án chủ yếu tổng hợp từ
các cơng trình nghiên cứu đã đăng tải trên các tạp chí, kỷ yếu hội nghị khoa họccông nghệ và không sao chép từ bất cứ cơng trình nào.
Tác giả


ii

LỜI CÁM ƠN
Để hồn thành luận án này tơi đã nhận được sự giúp đỡ của nhiều người.
Trước hết, tơi xin được bày tỏ lịng biết ơn sâu sắc đến PGS TS Nguyễn Nhị
Điền, Phó Viện trưởng Viện Năng lượng nguyên tử Việt Nam về việc Thầy ñã ñịnh
hướng ñề tài khoa học, bình duyệt kết quả nghiên cứu, tận tình hướng dẫn và hết
lịng giúp đỡ tơi suốt tiến trình thực hiện luận án.
Xin chân thành cám ơn PGS TS Nguyễn Đức Hòa, Hiệu trưởng Trường Đại
học Đà Lạt về việc Thầy đã truyền đạt cho tơi những kiến thức, kinh nghiệm quý
báu và hỗ trợ tôi trong quá trình nghiên cứu.

Xin chân thành cám ơn TS Phạm Đình Khang, Giám ñốc Trung tâm Đào tạo
hạt nhân, Viện Năng lượng nguyên tử Việt Nam về việc gợi ý nghiên cứu liên quan
ñến hướng phục vụ thực nghiệm vật lý hạt nhân, cũng như ln tạo điều kiện thuận
lợi cho tơi trong q trình làm luận án.
Xin chân thành cám ơn TS Nguyễn Xuân Hải, Giám ñốc Trung tâm Đào tạo,
Viện Nghiên cứu hạt nhân về việc bố trí thí nghiệm trên kênh và thảo luận thú vị về
các hệ phổ kế dùng trong ghi-đo bức xạ ion hóa. Xin chân thành cám ơn: ThS-NCS
Nguyễn An Sơn, Trường Đại học Đà Lạt về những nỗ lực ñáng kể trong phối hợp
công việc, hợp tác nghiên cứu; ThS-NCS Phạm Ngọc Sơn, KSC-NCS Phạm Ngọc
Tuấn, ThS-NCS Trần Tuấn Anh, CN Tưởng Thị Thu Hường, Phòng Vật lý và Điện
tử hạt nhân về sự hợp tác có hiệu quả trong cơng việc.
Xin trân trọng cám ơn Ban Lãnh ñạo Viện Năng lượng nguyên tử Việt Nam,
Ban Lãnh ñạo Viện Nghiên cứu hạt nhân ln ủng hộ, động viên, tạo mọi điều kiện
để nghiên cứu sinh hoàn thành nhiệm vụ. Xin cám ơn các anh, chị Phòng Vật lý và
Điện tử hạt nhân, những ñồng nghiệp ñã tham gia trực tiếp hoặc gián tiếp trong các
đề tài nghiên cứu khoa học-cơng nghệ liên quan ñến luận án.
Nhân dịp này, tôi xin ñược gửi lời cám ơn chân thành tới bạn hữu xa, gần về
việc ln chia sẻ tình cảm và giúp đỡ tơi những lúc khó ngặt bằng khả năng cùng
tâm tương ái.
Đà Lạt, ngày 26 tháng 12 năm 2013
Nghiên cứu sinh


iii

THE ABSTRACT OF DOCTORAL THESIS
Author: Dang Lanh
Supervisor: Assoc Prof. Dr Nguyen Nhi Dien
Title of the thesis: Studying on and the construction of DSP-based instruments via
application of FPGA for experimental nuclear physics research.

Major: Atomic Physics
Code: 62.44.01.06
Institution: Vietnam Atomic Energy Agency (VINATOM)

THE CONTENT OF THE ABSTRACT
1. The aim of the dissertation: The aim of the thesis is to study, design and
fabricate some functional electronics modulars for radiation measurements and
detection at the horizontal channels in DaLat research reactor by Digital Signal
Processing (DSP) techniques via applications of Field Programmable Gate Arrays
(FPGA).
2. Objectives: The objectives of the thesis is to focus on exploitation of Very high
speed integrated circuit Hardware Description Language (VHDL) with
mathematical algorithms for creating an FPGA entity to an integrated product that
has flexible processing capabilities and entirely controlled by software.
3. Research methods as follows: Moving Window Deconvolution (MWD) method
for re-constructing the charge of any radiation event interacted detector
environment; Signal processing method before the conditioning stage (APP) for
making an adaption bridge between time-variant analog domain with Infinite
Impluse Response (IIR) and time-invariant digital domain with Finite Impulse
Response (FIR); Digital Pulse Processing (DPP) method using Low Pass Filter
(LPF), High Pass Filter (HPF) and High Pass Deconvolver (HPD) to convert energy
information into trapezoidal signals, Digital Base Line Restorer (BLR) to stabilize
spectra, Add-subtract units to detect peaks with pile-up rejection; Using Visual C++
and LabView to develop application procedures obtaining and control of data.
4. New contributions of the dissertation: 1) Research and application of Digital
Pulse Processing (DPP) successfully, handling Analog Pulse Shape (ASP) from the
radiation measurement detectors and quantizing signals through A/D conversion in
development of digital instruments. 2) Design, fabrication of functional electronics
modulars based on DSP via FPGA for domestic demands. 3) Development of the
VHDL code to build MCAs in algorithms through ISE or Max+PlusII, and of the

application programs under Windows in the object-oriented language VC++,
LabView to acquire data.
5. Results of the dissertation: As to hardware, the thesis designed, constructed and
gave a usage of the following instruments: FPGA-MCA8K, DSP-MCA1K, DSPMCA8K modulars. All the instruments were capable of interfacing to PC via µC.
Related to self-executed software, the thesis developed digital procedures to digitize
signals in FPGA entity via ISE-Xilinx, designed logic projects inside the FPGA
with logic-logic linking method via Max+PlusII-Altera, created application
programs named MCANRI and MCADSP for getting and processing data.


iv

6. Conclusions: In the past, most of popular functional electronics modulars were
normally based on traditional analog techniques, complicated and not convenient
for use. This dissertation deals with a new design of contemporary techniques based
on FPGA devices via DSP with VHDL. The outstanding advantage of DSP
techniques and FPGA technology is capable of enhancement of the quality of the
experimental measurements for nuclear radiation. The digital instruments are
established with FPGA devices. One of the new development directions for building
experimental systems of nuclear physics studies and applications of nuclear
technology is utilization of FPGA and DSP techniques. This direction meets
effectively the more increasing requirements on the accuracy of ionizing radiation
measurements. Since that, a novel generation of spectrometry systems is compact
on size, convenient in terms of connectivity and use. The outstanding advantage of
DSP techniques and FPGA technology is capable of enhancement of the quality of
the experimental measurements for nuclear radiation, minimization of functional
electronics modules as well as the economic investment. Besides, an important
element of the system based on DSP and FPGA is low power consumption to save
energy that has a special meaning in large equipments. With these advantages, the
applied research via FPGA, DSP in design and fabrication of radiation measurement

instruments for fundamental research in nuclear physics, especially about the study
of nuclear structure and data on neutron beams at the Dalat reactor and on the
charged particle beam accelerators for domestic needs is essential.
Supervisor

Post-Graduate

Nguyen Nhi Dien

Dang Lanh

Nguyen Nhi Dien

Dang Lanh


xv

DANH MỤC HÌNH
Hình 1.1: Cơ cấu FPGA đơn giản …………………………………………………

8

Hình 1.2: Cấu hình bảng tra cứu với dữ liệu nhập/xuất …………………………...

9

Hình 1.3: Khối logic lập trình cơ bản trong FPGA ………………………………..

9


Hình 1.4: FPGA với các cột khối RAM được tích hợp ……………………………

10

Hình 1.5: Kết hợp các bộ nhân, cộng, tích lũy tạo tổ hợp MAC …………………..

10

Hình 1.6: Sơ đồ khối hệ xử lý xung số (DPP) ……………………………………..

17

Hình 1.7: Các tín hiệu minh họa tác vụ xử lý xung ……………………………….

19

Hình 1.8: Sơ đồ khối APP trong hệ phổ kế ………………………………………..

19

Hình 1.9: Đáp ứng xung ñược tạo ra bởi hệ thiết bị số ……………………………

20

Hình 1.10: Các tín hiệu chỉ ra hoạt động của kênh nhanh ………………………...

20

Hình 1.11: Các tín hiệu biểu thị tác vụ thực hiện chống chồng chập …………


22

Hình 1.12: Đơn vị kết hợp tác vụ làm chậm-thuật tốn trừ ……………………….

24

Hình 1.13: Bộ khử tích chập mạch cao qua kiểu số ……………………………….

24

Hình 1.14: Cấu hình HPD như bộ bù trừ P-Z số …………………………………..

26

Hình 1.15: Sơ đồ bộ DPS hình thang/tam giác ……………………………………

26

Hình 1.16: Mơ hình thuật tốn tạo tam giác/hình thang khi tín hiệu PA là hàm mũ

27

Hình 1.17: (a) Phương pháp thang trượt chuẩn, (b) Biểu diễn tương ñương của
phương pháp thang trượt chuẩn …………………………………………………...

28

Hình 1.18: (a) Phép biến đổi trước lọc, (b) Khiểu biến ñổi phi tuyến, (c) Kiểu
biến ñổi thống kê, (d) Biểu diễn thống kê tương ñương của biến đổi A/D ………..


29

Hình 1.19: Sơ đồ bộ tạo dạng xung tương tự APS ………………………………...

31

Hình 1.20: Sơ đồ đơn giản hóa của bộ DPP lý tưởng ……………………………..

31

Hình 1.21: Trái-các dạng xung trong APS. Phải-các dạng xung trong DPP ……...

32

Hình 1.22: Ngõ ra bộ vi phân đối với hình thành xung tương tự (trái) và số (phải)

34

Hình 1.23: Tín hiệu từ 3 bộ tạo dạng khác nhau …………………………………..

34

Hình 1.24: Tính diện tích đỉnh …………………………………………………….

35

Hình 1.25: Tính INL của MCA ……………………………………………………

38


Hình 2.1: Sơ đồ cấu trúc khối FPGA-MCA8K ghép máy tính ……………………

42


xvi

Hình 2.2: Bản mạch DSP-Spartan-3E, Xilinx ……………………………………...

45

Hình 2.3: Cấu trúc tổng thể khối DSP-MCA1K dùng FPGA ……………………..

45

Hình 2.4: Bộ nhớ phổ trong FPGA ………………………………………………..

47

Hình 2.5: Hình thành DPRAM trong FPGA bằng ngơn ngữ VHDL nhờ ISE ……

47

Hình 2.6: Quy trình thực hiện CPU và thành phần vi mạch thực hiện tác vụ ..

48

Hình 2.7: Kết quả sau khi nạp trình tạo CPU thành cơng …………………………


49

Hình 2.8: Sơ đồ khối xử lý xung số (DPP) ………………………………………..

50

Hình 2.9: Sơ đồ cấu trúc tổng thể của khối DSP-MCA8K ………………………..

51

Hình 2.10: Sơ đồ ngun lý bộ APP ………………………………………………

52

Hình 2.11: Sơ đồ ngun lý tầng biến đổi A/D nhanh …………………………….

53

Hình 2.12: Bộ khử tích chập (HPD) ……………………………………………….

54

Hình 2.13: Tầng làm chậm và trộn tín hiệu …………………………………

54

Hình 2.14: Bộ lọc thấp qua (LPF) …………………………………………………

55


Hình 2.15: Tầng phát hiện đỉnh và lưu phổ …………………………………

56

Hình 2.16: Tầng giao diện của µC …………………………………………..

57

Hình 2.17: Sơ đồ hệ phổ kế trùng phùng sử dụng TAC tại Viện NCHN …………

59

Hình 2.18: Sơ ñồ nguyên tắc của hệ trùng phùng “sự kiện-sự kiện” kiểu số ……..

60

Hình 2.19: Sơ đồ khối hệ ghi-đo nơtron …………………………………………..

60

Hình 2.20: Sơ đồ tổng thể khối MCA8K dùng EZ-USB trong hệ đếm nơtron ……

62

Hình 2.21: Lưu đồ thuật tốn của chu trình đọc/viết thời gian ……………………

63

Hình 2.22: Lưu đồ thuật tốn cho chương trình giao tiếp máy tính ……………….


64

Hình 2.23: Lưu đồ thuật tốn xử lý phổ của chương trình ứng dụng MCANRI …..

66

Hình 2.24: Phổ Co-60 và Cs-137 đo được khi dùng chương trình MCANRI …….

67

Hình 2.25: Hàm kết nối thiết bị với máy tính ……………………………………..

68

Hình 2.26: Biểu diễn hàm cho phép tải vi chương trình vào EZ ………………….

68

Hình 2.27: Hàm cho phép viết/đọc một byte dữ liệu ……………………………...

69

Hình 2.28: Hàm cho phép đọc/viết nhiều byte dữ liệu cùng lúc …………………..

69

Hình 2.29: Trang giao diện của chương trình DSPMCA ………………………….

70


Hình 2.30: Trình đơn mở tập tin ………………………………………………...

71

Hình 2.31: Trình đơn xác lập các tham số thời gian ………………………………

71


xvii

Hình 2.33: Trình đơn đặt ngưỡng …………………………………………………

71

Hình 2.34: Trình đơn chuẩn năng lượng …………………………………………..

72

Hình 2.35: Trình đơn xử lý vùng quan tâm ………………………………………..

72

Hình 2.36: Hiển thị các tham số liên quan phổ ……………………………………

72

Hình 2.37: Phím khởi phát/dừng chương trình ……………………………………

73


Hình 2.38: Hình biểu diễn con trỏ và các biểu tượng co-giãn phổ ………………..

73

Hình 2.39: Lưu đồ thuật tốn trình vi ñiều khiển ………………………………….

75

Hình 3.1: Cấu hình kiểm tra hoạt ñộng logic của 2i số kênh ño theo chỉ số i ……

79

Hình 3.2: Kết quả kiểm tra chỉ số kênh tương ứng dùng chương trình
MCANRI.exe ……………………………………………………………………...

80

Hình 3.3: Cấu hình thí nghiệm ño ñộ phi tuyến vi phân DNLFPGA-MCA8K …………

81

Hình 3.4: Phổ tuyến tính vi phân của hệ SUT dùng khối FPGA-MCA8K ………..

81

Hình 3.5: Độ phi tuyến vi phân của khối FPGA-MCA8K ………………………...

82


Hình 3.6: Cấu hình thí nghiệm đo DNLDSP-MCA8K …………………………………

83

Hình 3.7: Phổ tuyến tính vi phân của hệ SUT dùng khối DSP-MCA8K ………….

83

Hình 3.8: Độ phi tuyến vi phân của khối DSP-MCA8K …………………………..

83

Hình 3.9: Cấu hình kiểm tra INL% của khối FPGA-MCA8K …………………….

84

Hình 3.10: Đường cong biểu diễn INL của hệ hợp bộ dùng FPGA-MCA8K …….

85

Hình 3.11: Thí nghiệm kiểm tra INLDSP-MCA8K ……………………………………

86

Hình 3.12: Đường cong biểu diễn INL của DSP-MCA8K (INLDSP-MCA8K) ……….

87

Hình 3.13: Cấu hình kiểm tra giá trị χ2 của hệ hợp bộ dùng FPGA-MCA8K …….


90

Hình 3.14: Hệ phổ kế đo phổ gamma từ nguồn 152Eu ……………………………..

90

Hình 3.15: Phổ 152Eu và ñường chuẩn năng lượng qua phép khớp 10 ñỉnh có các
giá trị năng lượng-kênh ghi trong bảng 3.11a ……………………………………..

91

Hình 3.16: Đường chuẩn hiệu suất ghi đầu dị theo năng lượng …………………..

93

Hình 3.17: Đo phổ gamma của nguồn 60Co, 137Cs dùng khối DSP-MCA8K với
đầu dị HPGe lần 1 ...................................................................................................

94

Hình 3.18: Phổ thực nghiệm 60Co, 137Cs dùng DSP-MCA8K .................................

94

Hình 3.19: Đo phổ gamma của nguồn 60Co, 137Cs dùng khối DSP-MCA8K với
đầu dị HPGe lần 2 ...................................................................................................

95



xviii

Hình 3.20: Phổ 60Co và 137Cs đo bằng DSP-MCA8K chế tạo lần 2 ........................

96

Hình 3.21: Đỉnh 661.7 keV của 137Cs trong hai hệ đo DSPEC và DSP-MCA8K ...

96

Hình 3.22: Đỉnh 1332.5 keV của 60Co trong hai hệ ño DSPEC và DSP-MCA8K ..

96

Hình 3.23: Phổ thu được từ máy phát xung tam giác của khối DSP-MCA1K ........

98

Hình 3.24: Phổ nơtron đo trên kênh ngang số 4 Lò Đà Lạt .....................................

99


xix

DANH MỤC BẢNG
Bảng 3.1: Kết quả kiểm tra ñộ phi tuyến vi phân của SUTFPGA-8K và RSSAccuspec …

82


Bảng 3.2: Kết quả kiểm tra ñộ phi tuyến vi phân của SUTDSP-8K và RSSDSPEC ……

84

Bảng 3.3: Giá trị các cặp thế-kênh thu ñược khi kiểm tra INLFPGA-MCA8K ………...

85

Bảng 3.4: Độ phi tuyến tích phân của hai hệ hợp bộ khi kiểm tra ………………...

85

Bảng 3.5: Giá trị các cặp thế-kênh thu ñược khi kiểm tra INLDSP-MCA8K ………….

86

Bảng 3.6: Độ phi tuyến tích phân INLDSPEC và INLDSP-MCA8K ……………………..

87

Bảng 3.7: Số đếm tích lũy theo thời gian thực và ñộ lệch số ñếm giữa hai hệ đo ...

88

Bảng 3.8: Số đếm tích lũy theo thời gian thực và ñộ lệch số ñếm của hai khối
DSP-MCA8K và DSPEC …………………………………………………..

88

Bảng 3.9a: Phân tích số liệu thống kê đếm để tính giá trị Khi bình phương ……...


89

Bảng 3.9b: Bảng so sánh kết quả χ2 của hai hệ SUT và RSS …………………….

90

Bảng 3.10: Thơng tin thời gian, địa điểm, nguồn, đầu dị dùng trong hệ đo ……...

91

Bảng 3.11a: Các giá trị ñịnh lượng thực nghiệm của 10 ñỉnh năng lượng gamma
từ nguồn 152Eu ……………………………………………………………………..

92

Bảng 3.11b: Các giá trị hoạt ñộ nguồn, thời gian ño, hiệu suất phát hiện ñỉnh
năng lượng gamma từ ñồng vị 152Eu ……………………………………….

93

Bảng 3.12: Giá trị thực nghiệm của các ñỉnh gamma trong hai hệ RSS và SUT .....

95

Bảng 3.13: So sánh các tỷ số diện tích đỉnh với phơng trong hai khối thiết bị lần 1

95

Bảng 3.14: Số liệu thực nghiệm của phổ thu trong hai hệ ño chế tạo lần 2 .............


97


1

MỞ ĐẦU
Thiết bị ñiện tử hạt nhân trên cơ sở áp dụng các linh kiện điện tử mạch tích
hợp mảng các phần tử logic lập trình được (FPGA) và kỹ thuật xử lý tín hiệu số
(DSP) là một trong những hướng phát triển mới ñể xây dựng các hệ thực nghiệm
nghiên cứu vật lý hạt nhân và ứng dụng của kỹ thuật hạt nhân ñáp ứng những yêu
cầu ngày càng cao về độ chính xác của các phép ghi-đo bức xạ ion hóa. Ưu điểm
nổi bật của kỹ thuật DSP và công nghệ FPGA là khả năng nâng cao chất lượng
trong các thực nghiệm ghi-ño bức xạ hạt nhân, giảm thiểu số lượng các khối điện tử
và giảm kinh phí ñầu tư. Bên cạnh ñó, các hệ thống thiết bị trên cơ sở DSP và
FPGA có cơng suất tiêu thụ thấp nên tiết kiệm năng lượng, ñiều này ñặc biệt quan
trọng khi xây dựng hệ thống thiết bị lớn. Với những ưu ñiểm vừa ñề cập ở trên, các
nghiên cứu áp dụng công nghệ FPGA và kỹ thuật DSP trong các nghiên cứu chế tạo
thiết bị ghi-ño bức xạ là rất cần thiết. Tuy nhiên, cho ñến những năm gần ñây các
nghiên cứu áp dụng kỹ thuật DSP và công nghệ FPGA ở trong nước nói chung và
tại Viện Nghiên cứu hạt nhân (NCHN) nói riêng cịn rất khiêm tốn. Mặc dù có thể
trang bị các thiết bị theo cơng nghệ tích hợp tiên tiến nêu trên bằng cách nhập khẩu
sản phẩm từ nước ngoài, song việc tự nghiên cứu phát triển nhằm từng bước nội địa
hóa các hệ điện tử chun dụng đã hoặc chưa có thương mại hóa là nhu cầu thực tế.
Vì những lý do đã trình bày ở trên, vấn ñề “Nghiên cứu, xây dựng hệ thiết bị thu
nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên
cứu vật lý hạt nhân thực nghiệm” ñã ñược chọn làm ñề tài luận án của nghiên cứu
sinh. Các mục tiêu cụ thể ñã ñược xác ñịnh trong luận án là nghiên cứu, thiết kế-chế
tạo một số khối ñiện tử phục vụ thí nghiệm đo đếm bức xạ hạt nhân trên các kênh
ngang của Lò phản ứng hạt nhân Đà Lạt, bao gồm: 1) Nghiên cứu ứng dụng dịng

FPGA đặc thù EPM7160E ñể thiết kế, chế tạo khối FPGA-MCA8K dùng phương
pháp liên kết cổng logic trong môi trường Max+PlusII; 2) Thiết kế, chế tạo khối
DSP-MCA1K và khối DSP-MCA8K dựa trên DSP qua ứng dụng dịng FPGA
XC3S400 và XC3S500 trong mơi trường ISE; 3) Phát triển phần mềm logic hóa các
thuật tốn xử lý tín hiệu số bằng VHDL dùng cho các khối thiết bị ñược thiết kế-chế


2

tạo; 4) Phát triển phần mềm ghi-ño và xử lý phổ trên nền Windows XP bằng ngôn
ngữ VC++ và LabView, kể cả trình vi điều khiển cho µC.
Các nội dung nghiên cứu chính đã được thực hiện trong luận án bao gồm:
• Phân tích tổng quan về q trình phát triển hệ phổ kế ña kênh và hệ phổ kế trùng
phùng ở trong và ngồi nước.
• Nghiên cứu phương pháp khử tích chập trong cửa sổ động (MWD) để thiết kế,
chế tạo hệ phổ kế đa kênh kỹ thuật số.
• Tiến hành thực nghiệm thiết kế, chế tạo các khối ñiện tử và thử nghiệm thực tế
các khối ñiện tử ñã chế tạo trên dòng nơtron tại kênh ngang Lò phản ứng hạt nhân
cũng như với một số nguồn ñồng vị chuẩn.
Nhằm thực hiện các nội dung chính vừa nêu, các phương pháp và kỹ thuật
được ứng dụng để có ñược các mục tiêu cụ thể là:
• Phương pháp thang trượt chuẩn và kỹ thuật thang bổ chính độ rộng kênh ñể phát
triển thành phần biến ñổi tương tự-số trong các khối ADC và MCA.
• Phương pháp thiết kế mạch điện tử bằng kiểu lập trình kết nối mạch tích hợp
FPGA và kiểu lập trình điều khiển phần cứng bằng ngơn ngữ VHDL.
• Kỹ thuật lập trình Windows bằng ngơn ngữ hướng ñối tượng C++ và LabView ñể
phát triển chương trình điều khiển thu nhận dữ liệu và xử lý phổ.
• Phương pháp xử lý số liệu thực nghiệm nhằm xác ñịnh các ñại lượng vật lý trong
phổ và ñặc trưng kỹ thuật của hệ thiết bị dùng trong ghi-ño bức xạ ion hóa gồm:
thuật tốn khớp đỉnh đơn với phân bố Gauss bằng phương pháp bình phương tối

thiểu, tính diện tích và phương sai của đỉnh hấp thụ tồn phần bằng phương pháp
thực nghiệm của ORTEC hoặc Genie-2000, ñịnh chuẩn năng lượng bằng phép hồi
quy bậc hai, tính độ phân giải ñỉnh quang qua ñộ lệch chuẩn của ñỉnh, tính các độ
phi tuyến vi-tích phân (DNL-INL) của hệ thống dùng thuật tốn hồi quy tuyến tính
cùng các tham số ñặc trưng kỹ thuật khác của hệ thiết bị ñược chế tạo.
Luận án gồm hai phần chính: phần tổng quan và phần nghiên cứu. Phần tổng
quan trình bày và phân tích tình hình nghiên cứu phát triển thiết bị điện tử hạt nhân
ở trong và ngồi nước, liên quan đến mục tiêu và nội dung của luận án. Phần nghiên
cứu trình bày các nội dung nghiên cứu về phương pháp, thực nghiệm và kết quả của


3

luận án. Nội dung của luận án được trình bày trong ba chương. Chương 1 trình bày
tổng quan về quá trình phát triển hệ phổ kế đa kênh và hệ phổ kế trùng phùng ở
trong nước và trên thế giới, trong đó tập trung phân tích các hướng nghiên cứu liên
quan ñến mục tiêu và nội dung của luận án; trình bày các phương pháp, kỹ thuật
được sử dụng trong luận án, đặc biệt là phương pháp khử tích chập trong cửa sổ
ñộng ñể thiết kế, chế tạo hệ phổ kế đa kênh kỹ thuật số và thuật tốn xử lý số liệu
thực nghiệm. Chương 2 trình bày các thực nghiệm thiết kế, chế tạo và thử nghiệm
các khối ñiện tử; phát triển phần mềm ứng dụng thu nhận dữ liệu và điều khiển thiết
bị. Chương 3 trình bày các kết quả kiểm tra và áp dụng thử nghiệm thực tế các khối
ñiện tử ñã chế tạo; tiến hành ghép nối, thử nghiệm các khối ñiện tử ñã chế tạo thành
hệ phổ kế ñộc lập; các kết quả thực nghiệm khảo sát các ñặc trưng của hệ phổ kế ñã
thiết lập của luận án; tiến hành ghép nối kiểm tra và áp dụng thử nghiệm hệ ño
nơtron trên kênh thực nghiệm nằm ngang của Lò phản ứng; kết quả kiểm tra và áp
dụng chương trình đã phát triển với các nguồn ñồng vị

60


Co,

137

Cs,

152

Eu và thảo

luận về các kết quả thực nghiệm thu ñược. Phần kết luận của luận án nêu lên các kết
quả chính, các đóng góp mới của luận án, ý nghĩa khoa học và thực tiễn của luận án,
ñồng thời ñề xuất hướng nghiên cứu cần tiếp tục.


4

Chương 1 VAI TRÒ CHỨC NĂNG CỦA DSP, FPGA VÀ THUẬT
TOÁN ĐỂ PHÁT TRIỂN, ỨNG DỤNG THIẾT BỊ ĐIỆN TỬ HẠT
NHÂN TRONG GHI-ĐO BỨC XẠ
Các hệ thống phổ kế hạt nhân ñược dùng ñể ghi-ño các bức xạ hạt nhân gồm
tia X, tia gamma, các tia beta cũng như alpha, nơtron và các hạt nặng tích điện khác.
Các phép đo thực nghiệm trong vật lý hạt nhân có thể bao gồm đếm sự kiện, ghi
thơng tin về năng lượng-thời gian, và sự kết hợp giữa chúng. Thông thường, các
tham số thay ñổi trong phép ño là dải năng lượng của bức xạ và tốc ñộ ghi ño các sự
kiện. Các nghiên cứu về thời gian sống và sơ ñồ phân rã, thực nghiệm trùng phùng,
ñếm photon ñơn, và các nghiên cứu bức xạ hủy positron thường địi hỏi độ phân giải
năng lượng và ñộ phân giải thời gian tốt. Do vậy, ñể nâng cao chất lượng kết quả
nghiên cứu, các hệ thống thiết bị ñiện tử hạt nhân phải ñáp ứng ñược yêu cầu ngày
càng cao của các nghiên cứu thực nghiệm.

1.1. Tình hình nghiên cứu, ứng dụng ở trong và ngồi nước
1.1.1. Tình hình nghiên cứu, ứng dụng ở ngồi nước
Giai đoạn trước những năm 1990, nhiều phịng thí nghiệm trên thế giới ñã sử
dụng các hệ thống ñối trùng và trùng phùng ñể nghiên cứu cấu trúc hạt nhân. Các hệ
phổ kế này sử dụng thiết bị ñầu dị và các khối điện tử kiểu tương tự. Chẳng hạn, hệ
phổ kế triệt Compton dùng cho phổ học tia gamma với đầu dị HPGe thể tích lớn
113 cm3 được bố trí trong đầu dị NaI(Tl) kích thước 22.9 cm x 25.4 cm [30], hệ
phổ kế trùng phùng γ-γ dùng cho phân tích kích hoạt nơtron dụng cụ [61], hệ phổ kế
gamma nối tầng hai bậc (TSC) của phản ứng (n,2γ) ở các năng lượng nơtron nhiệt
[60] và nghiên cứu các hàm lực photon [57], ... Các hệ vừa nêu ñều sử dụng các khối

ñiện tử truyền thống chuẩn NIM do các hãng Ortec, Canberra chế tạo như: AMP,
ADC, MCD, TAC, CFD, v.v… và ñáp ứng tốt yêu cầu thực nghiệm. Song song với
các cơng trình nghiên cứu vật lý vừa nêu, có rất nhiều cơng trình liên quan đến việc
xây dựng và phát triển thiết bị phục vụ các nghiên cứu này, hầu hết các cơng trình
đó đều sử dụng cơng nghệ điện tử thế hệ mới là DSP và FPGA; chẳng hạn như cơng
trình đề cập đến sự cải thiện độ phân giải vị trí của các đầu dò HPGe chất lượng cao
sử dụng các phương pháp phân tích biên độ xung [67], hoặc phân tích biên độ xung


5

với các đầu dị germanium [71], hoặc phát triển các kỹ thuật lấy mẫu và xử lý tín
hiệu số với các ứng dụng cho các đầu dị vật lý hạt nhân [66]. Các cơng trình nêu
trên đã ứng dụng các thuật tốn xử lý xung số để nghiên cứu, thiết kế hệ phổ kế
gamma qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân.
1.1.2. Tình hình nghiên cứu, ứng dụng ở trong nước
Về hướng nghiên cứu và phát triển thiết bị tại Viện NCHN, vào ñầu những
năm 1990, một hệ thiết bị phổ kế gamma triệt Compton trong đó kỹ thuật trùng
phùng đã được áp dụng thành cơng [7] phục vụ hướng nghiên cứu phân tích kích

hoạt nơtron ño phổ gamma tức thời trên kênh thực nghiệm nằm ngang của Lò phản
ứng nghiên cứu Đà Lạt. Hệ phổ kế ña kênh cũng ñã ñược quan tâm phát triển, trong
đó một số khối điện tử như HV, AMP, bản mạch giao diện máy tính qua cổng song
song ISA đã ñược thiết kế chế tạo theo kiểu ñiện tử truyền thống và đã đưa vào sử
dụng cho mục đích nghiên cứu số liệu tiết diện nơtron toàn phần và tiết diện bắt
nơtron trong khai thác và ño ñạc số liệu [91]. Giai ñoạn những năm 1993-1997, hệ
phổ kế Cộng biên ñộ các xung trùng phùng (SACP) ñã ñược nghiên cứu phát triển
phục vụ hướng nghiên cứu cấu trúc hạt nhân và đã có những thành cơng bước đầu,
có thể kể đến là các cơng trình nghiên cứu ứng dụng [10], [73]. Từ những năm 2000
ñến nay, một số hệ phổ kế gamma chất lượng cao sử dụng đầu dị bán dẫn đã được
trang bị tại Viện NCHN, điển hình là một hệ phổ kế ña kênh ño phổ gamma dựa
trên kỹ thuật DSP lần ñầu tiên ñược nhập khẩu vào Việt Nam ñầu năm 2004 phục
vụ ño phổ gamma. Bên cạnh đó, một hệ phổ kế chất lượng cao khác là hệ trùng
phùng sử dụng hai đầu dị HPGe đã ñược thiết lập thành công tại Viện phục vụ
hướng nghiên cứu thực nghiệm về cấu trúc hạt nhân và mật độ mức hạt nhân, trong
đó cấu hình kết nối hệ thống cho phép thu nhận thơng tin đặc trưng về phân rã nối
tầng của các mức kích thích trong hạt nhân hợp phần tạo thành ở phản ứng bắt
nơtron nhiệt.
Hệ ño phổ gamma nối tầng [90] sử dụng ñơn vị DP4 [5] cùng các khối ñiện tử
ñặc thù ñã ñược ñề xuất và thiết lập thành công; và trong những khối đặc thù này
tuy có khả năng giao diện PC nhờ µC với phần mềm phát triển ứng dụng trên nền
Windows, song hệ ño vẫn dựa trên kỹ thuật ñiện tử truyền thống. Rõ ràng trên thực


6

tế, các nghiên cứu vật lý hạt nhân thực nghiệm và chế tạo thiết bị ñiện tử hạt nhân là
hai chủ thể ln đồng hành gắn kết có tương quan hỗ trợ lẫn nhau. Khi nghiên cứu
phân rã gamma nối tầng của các hạt nhân


170

Yb và

158

Gd, các giá trị cường ñộ của

nhiều chuyển dời nối tầng, các mức kích thích mới, số các chuyển dời gamma mới,
việc tách ñược các ña bội của các chuyển dời gamma và sử dụng thành công
phương pháp SACP trong nghiên cứu hạt nhân phân rã phóng xạ

170

Yb đã mở ra

một hướng nghiên cứu mới - nghiên cứu hạt nhân phân rã phóng xạ bằng phương
pháp SACP [6], [10], [74]. Nói khác đi, nghiên cứu về số liệu hạt nhân, cấu trúc hạt
nhân, mật ñộ mức năng lượng ñã ñược khẳng ñịnh và nâng lên tầm quốc tế qua các
công bố khoa học [76]. Cũng vậy, những thành tựu nhất ñịnh về thiết kế xây dựng
các hệ thiết bị ñiện tử ñã thể hiện qua một số cơng trình, tiêu biểu là cơng trình xây
dựng hệ phổ kế tạo cặp và triệt Compton [7] bao gồm các khối ñiện tử hạt nhân ñã
ñược thiết kế-chế tạo và đưa vào khai thác có hiệu quả tại Viện NCHN vào ñầu thập
niên 1990: Pre-AMP, HVPS, AMP, ADC, CFD, LG, FA, RPG, DLU, COINC. U.
Bức tranh nêu trên ñã làm hấp dẫn các nghiên cứu viên tiếp tục phát huy và kế thừa
ưu thế cùng kinh nghiệm sẵn có để nghiên cứu, phát triển thiết bị theo hướng DSP ở
chế ñộ thời gian thực (realtime) qua ứng dụng FPGA [27] với cơng cụ phần mềm
đắc lực VHDL [38], [68] trong mơi trường phần mềm tích hợp (ISE) [100]. Mặc dù
các kết quả đạt được từ những cơng trình vừa nêu ñã hàm chứa những ý nghĩa khoa
học và thực tiễn nhất ñịnh, song vẫn chưa thể hiện hết nội năng tiềm tàng của các

nghiên cứu viên cũng như chưa khai thác hết tiềm lực sẵn có của Lị phản ứng hạt
nhân Đà Lạt trong lĩnh vực nghiên cứu cơ bản và ứng dụng của khoa học-kỹ thuật
hạt nhân. Các kinh nghiệm này sẽ ñược tiếp tục phát huy nhiều hơn và ñạt nhiều kết
quả khả quan hơn nữa khi cơng nghệ tiên tiến đương đại theo hướng DSP-FPGA
được áp dụng vào các thiết bị ghi-ño bức xạ ion hóa.
1.2. Vai trị chức năng của DSP và FPGA
1.2.1. Xử lý tín hiệu số (DSP)
DSP là một trong những cơng cụ hữu hiệu nhất góp phần phát triển khoa học
và kỹ thuật [80] của thế kỷ 21 trong các lĩnh vực: viễn thơng, y học, sóng vơ tuyến
& định vị tàu ngầm, tái tạo âm thanh, v.v… và vật lý thực nghiệm. Mỗi một lĩnh


7

vực ñều ñã phát triển DSP chuyên sâu nhờ các giải thuật, các phương trình tốn học,
và các kỹ thuật ñặc biệt hoá. Lĩnh vực nghiên cứu DSP liên quan ñến hai nhiệm vụ:
xây dựng các ý tưởng tổng quát ñể ứng dụng vào lĩnh vực dự ñịnh phát triển và
nghiên cứu các kỹ thuật đặc biệt hố đối với phạm vi quan tâm. Do vậy, kỹ thuật
DSP có thể ñược ứng dụng trong nhiều lĩnh vực khác nhau nói chung, đặc biệt trong
các hệ phổ kế hạt nhân nói riêng [16], [19], [20], [21], [56], [62], [63], [67], [71].
Ngày nay, DSP đã được thương mại hóa bởi các hãng nổi tiếng về thiết bị hạt nhân
như Ortec, Canberra. Nhờ ứng dụng DSP nên các hệ thiết bị đo có nhiều ưu ñiểm
nổi trội hơn: ña năng, nhanh và hiệu quả khi thu nhận và xử lý dữ liệu, phân tích
phổ, mơ phỏng tín hiệu; và một trong những hệ phổ kế như vậy là Dspec®, Ortec
[84] nhập khẩu đang ñược khai thác có hiệu quả tại Viện NCHN, Đà Lạt.
Hệ MCA chuyên dụng ghép PC ñã ñược nghiên cứu và phát triển tại một số
viện nghiên cứu và trường ñại học trong nước. Hệ phổ kế gamma dùng với ñầu dò
bán dẫn ñòi hỏi chất lượng cao hơn; và nếu thỏa mãn, ñộ ổn ñịnh của phổ sẽ tốt hơn
thể hiện qua khả năng phân giải, ñộ dịch chuyển phổ, thời gian chết, tốc ñộ truyền
dữ liệu, v.v… Viện NCHN đã xây dựng hệ MCA có khả năng thu nhận dữ liệu, thay

đổi tự động các tham số chính của phổ kế gamma (ghi-đo, tính tốn, hiển thị, thời
gian, dải kênh, cửa sổ) ghép PC qua các cổng song song [8], và cổng nối tiếp USB
[2], [3]. Bên cạnh ñó, các hệ thiết bị ño ñếm nơtron dựa trên cơ sở µC giao tiếp
cổng USB V2.0 dùng ống đếm 3He [1], [9], [35], [36] hoặc BF3 phục vụ phép đo
tiết diện nơtron tồn phần và phân tích kích hoạt nơtron cũng ñược chế tạo. Các hệ
này ñã sử dụng µP tốc ñộ cao nhưng chưa dùng các DSPs chuyên biệt hoặc ngơn
ngữ lập trình VHDL cho FPGA để thực hiện xử lý xung khi số hóa tín hiệu tương tự
ngõ vào.
Về ngun tắc, có một số phương thức để tiến hành DSP: hoặc sử dụng các
khối DSPs ñã ñược thương mại hóa, hoặc dịng FPGA với ngơn ngữ VHDL [70].
Trong khuôn khổ luận án này, phương án thứ hai đã được chọn: dùng ngơn ngữ
VHDL lập trình, tạo mã nguồn, biên dịch và nạp thiết kế vào dòng FPGA nhờ các
bản mạch SPARTAN-3x của hãng Xilinx qua ISE, hoặc Max+plus II của hãng
Altera với dòng vi mạch EPM7160E [24] bằng phương pháp liên kết cổng logic.


8

1.2.2. Mảng các phần tử logic có khả năng lập trình (FPGA)
1.2.2.1. Giới thiệu
FPGA là các vi mạch số tích hợp (IC) chứa các khối logic định được cấu hình
cùng với phép liên kết trong giữa các khối đó. Hình 1.1 mô tả mối liên kết trong và
các khối logic khả lập trình [46]. Cơ cấu thiết kế định cấu hình đối với thiết bị
FPGA cho phép hoạt động đa dạng và xử lý linh ñộng các tác vụ truyền-nhận dữ
liệu, giao tiếp cả trong lẫn ngoài theo các cấu hình khác nhau. Tùy thuộc vào cách
chúng được thực hiện, một số FPGA chỉ có thể được lập trình một lần, trong khi
nhiều FPGA khác có khả năng tái lập trình nhiều lần.

Hình 1.1: Cơ cấu FPGA đơn giản.
Vào năm 1984, hãng Xilinx đã thiết kế một dịng IC gọi tên FPGA, và dịng

FPGA đầu tiên này dựa trên cơ sở CMOS, sử dụng SRAM nhằm định cấu hình các
tác vụ. Thiết kế cơ bản về FPGA dựa trên bảng tra cứu (LUT) 3 ngõ vào của khối
logic khả lập trình như đã biểu diễn trong hình 1.2. Có thể kết hợp LUT với các bộ
nhân và bộ lật trạng thái, chẳng hạn như ở hình 1.3 để hình thành khối logic lập
trình cơ bản dùng trong FPGA.
Việc ứng dụng FPGA ln đi cùng với phần mềm lập trình tinh vi, năng ñộng,
chất lượng cao là ISE của hãng Xilinx, hoặc Max+Plus II của hãng Altera. Đây là
các phần mềm thương mại, chuyên nghiệp phục vụ nghiên cứu và ứng dụng thực
tiễn. Mục đích chính của phần mềm là cung cấp những cơ sở cho mơi trường phát
triển tích hợp ISE, hoặc Max+Plus II và nhờ vậy người sử dụng có thể khởi tạo các
thiết kế luận lý theo đúng ý ñồ hoạch ñịnh. Phần mềm trợ giúp trực tuyến trên giao


9

diện của chương trình là cơng cụ hỗ trợ đắc lực cho việc thiết kế và lập trình có hiệu
quả.
Bốn thủ tục cơ bản liên tiếp nhau quyết ñịnh một dự án thiết kế FPGA hoàn
chỉnh thường bao gồm: khởi tạo chương trình, thiết kế dự án, biên dịch dự án đó và
nạp trình thiết kế [44] vào vi mạch tích hợp loại đặc chủng.
Có hai phương pháp chủ yếu ñể lập trình cho FPGA là phương pháp chuyên
nghiệp và phương pháp tiết kiệm. Tùy theo môi trường ứng dụng và điều kiện thực
tế phù hợp, có thể lựa chọn một trong hai phương pháp nêu trên và các phương pháp
này được trình bày trong Phụ lục A.

Hình 1.2: Cấu hình bảng tra cứu với dữ liệu

Hình 1.3: Khối logic lập trình

nhập/xuất. Nguồn [46].


cơ bản trong FPGA.

1.2.2.2. Tích hợp các chức năng của FPGA
Rất nhiều ứng dụng địi hỏi phải sử dụng bộ nhớ có dung lượng đủ lớn để ñáp
ứng nhu cầu lưu phổ năng lượng. FPGA bao gồm một khối lớn bộ nhớ được tích
hợp và gọi là khối SRAM (hoặc DRAM), tổ chức theo cấu trúc ma trận (cột, hàng)
như được biểu diễn trong hình 1.4. Dung lượng của các khối RAM có thể biến thiên
từ cỡ vài trăm ngàn bit ñến hàng chục triệu bit phụ thuộc vào dịng vi mạch được sử
dụng, và những khối này có thể dùng cho các mục đích đa năng.
Một số chức năng như các bộ nhân/chia, cộng/trừ là chậm nếu chúng ñược
thực hiện bằng các cổng rời. Khi kết hợp số lượng lớn các khối logic khả lập trình
với nhau, FPGA cung cấp các khối tích hợp gồm bộ nhân, bộ cộng và bộ tích lũy
(MAC) được thể hiện trong hình 1.5 nhằm tăng tốc độ xử lý dữ liệu.


10

Hình 1.4: FPGA với các cột khối

Hình 1.5: Kết hợp các bộ nhân,

RAM được tích hợp.

cộng, tích lũy tạo tổ hợp MAC.

1.3. Ứng dụng của DSP và FPGA trong thiết bị điện tử
Hiện thời, FPGA có thể được sử dụng trong 4 lĩnh vực chính: DSP, tích hợp
µC, giao tiếp giữa các lớp thực thể và tái định cấu hình thiết kế. Gần đây, sự phát
triển cơng nghệ vi mạch điện tử thế hệ mới và vai trị của nó trong thiết kế ứng dụng

ln thể hiện nhiều điểm nổi bật. Ưu ñiểm của hệ thống số so với hệ thống tương tự
cổ ñiển ñược phản ánh trong khả năng thực thi các thuật tốn phức hợp dùng để xử
lý tín hiệu [78]. Theo cách tiếp cận này, chất lượng cao nhất của các phép ño ñạt
ñược cả ở tốc ñộ ñếm thấp lẫn cao khi dùng các ñầu dò bức xạ khác nhau là khả dĩ.
Các nhiệm vụ chính của hệ phổ kế như lọc và khuếch đại tín hiệu, phát hiện và loại
bỏ chồng chập xung, phân tích biên độ và chuyển thành phổ năng lượng [47], [63]
có thể được thực thi tốt bằng các thuật tốn DSP dùng FPGA nhờ việc xác ñịnh các
hoạt ñộng khả lập trình, làm tăng đáng kể tính linh động của hệ thống, cho phép tái
lập cấu hình và hiệu chỉnh các tham số hoạt động nhưng khơng can thiệp phần cứng
[72]. Bên cạnh đó, hệ được phát triển có thể kết nối với máy tính dễ dàng. Nhờ có
khả năng mềm dẻo và cấu trúc nội bộ linh ñộng cho phép ñịnh nghĩa và thay ñổi
cách thức hoạt ñộng của mạch bằng việc lập trình nên FPGA biểu lộ sự lựa chọn ưu
việt nhằm thực thi DSP trong phổ học tia gamma. Với những ưu điểm đó, kỹ thuật
DSP qua FPGA ñược áp dụng ñể phát triển các thiết bị về dung lượng bộ nhớ cao,
tốc độ xử lý nhanh, tính năng ñiều khiển mềm dẻo, khả năng nhập/xuất dữ liệu lớn
[65], v.v… nhằm dữ liệu hóa và lưu trữ nhiều dạng thơng tin theo chế độ thời gian
thực (realtime) hoặc ngoại tuyến (offline), đồng thời cấu hình đo có nhiều tùy chọn
ưu việt hoàn toàn xử lý qua phần mềm ñiều khiển nhưng vẫn bảo ñảm ñược các yêu
cầu về thu nhận-xử lý thông tin [92-99].


11

1.4. Phương pháp ñiện tử kỹ thuật số
1.4.1. Phương pháp khử tích chập trong cửa sổ động (MWD) thực hiện thuật
tốn DSP
Một tập hợp các vấn đề lớn như suy giảm độ phân giải do các hiệu ứng bẫy
điện tích và ñộ hụt biên ñộ (ballistic deficit), ñộ phân giải nghèo ở tốc ñộ ñếm cao,
khả năng bất ổn ñịnh nhiệt với phép ño thời gian dài, v.v… thường nảy sinh trong
các thiết bị ghi-ño bức xạ hạt nhân khi dùng các đầu dị bán dẫn thể tích lớn. Nhằm

giải quyết các vấn ñề này, một phương pháp mới dựa trên phép khử tích chập trong
cửa sổ động (MWD) đã ñược phát triển và hướng tới áp dụng thiết kế hệ phổ kế
gamma. Đây là một trong những phương pháp xử lý xung kiểu số hữu hiệu dùng
trong phổ học tia gamma có dữ liệu nhập/xuất lớn và độ phân giải cao.
1.4.1.1. Giới thiệu
Trong việc thiết kế hệ thống hiện ñại ñối với phổ kế tia γ phân giải cao, một
vấn ñề cần ñề cập là bằng cách nào ñể ño bức xạ γ phát ra ở phản ứng hạt nhân có
hiệu quả, chuẩn xác và nhanh đến mức khả dĩ. Từ quan ñiểm về mặt thiết bị, hiệu
suất cao được cung cấp bởi góc khối tồn phần của hệ phổ kế lớn, hiệu suất ñỉnh
quang cao, tỷ số ñỉnh trên phơng lớn và độ chuẩn xác cao được hỗ trợ nhờ sử dụng
các bộ triệt Compton và thể tích lớn hoặc ngay cả các đầu dị Ge với độ phân giải
nội tại cao. Tuy nhiên, các ñặc ñiểm này thường chỉ biểu trưng trường hợp lý tưởng,
trong khi ñặc trưng độ phân giải nội tại cao cịn phụ thuộc nhiều vào hệ thống xử lý
tín hiệu dùng trên thực tế. Khi thời gian phân giải tồi, khả năng nhập/xuất dữ liệu
của hệ thống xử lý kém, ñộ phân giải nội tại cao của các đầu dị germanium có thể
bị tác động mạnh do việc chống chồng chập khơng hồn hảo hoặc bởi vắng sự hiệu
chỉnh ñộ hụt biên ñộ.
Phương pháp xử lý tín hiệu số mới MWD có khả năng khai thác tương ñối ñầy
ñủ ñặc trưng ñược cải thiện của các hệ phổ kế hiện ñại và trên thực tế phương pháp
này đã được mơ tả chi tiết qua nhiều cơng trình [21-23], [41], [56], [62], [69], [82],
[86-89], ñồng thời ứng dụng rất hiệu quả với DSP qua cơng nghệ điện tử mới
FPGA. Phương pháp MWD cho phép thực hiện các bộ lọc tạo dạng xung hỗ trợ sự
cân bằng tốt nhất giữa tạp âm, thời gian phân giải và ñộ hụt biên ñộ [94], tức là sự


12

thỏa hiệp tối ưu giữa việc ñạt ñược tỷ số S/N tốt nhất hoạt ñộng ở các tốc ñộ ñếm
cao nhưng khơng giảm độ phân giải [79], [83] với năng lượng tồn phần của sự kiện
bức xạ đo được khơng nhạy theo các thăng giáng thời gian tăng trong tín hiệu đầu

dị [81]. Để thực hiện được ý đồ này, phương pháp xử lý mới phải tái cấu trúc sự
phân bố điện tích ban đầu sinh ra từ sự kiện bức xạ bất kỳ, và tác vụ này cung cấp
cả cách triệt tối ưu các hiệu ứng hụt biên ñộ lẫn kiểu làm trơn dữ liệu tín hiệu. Việc
tạo dạng tối ưu ñược xác ñịnh bởi các diễn biến khác nhau của hai thành phần đóng
góp chính vào tạp âm của hệ phổ kế bán dẫn: tạp âm song song tỷ lệ với thời gian,
còn tạp âm nối tiếp tỷ lệ nghịch với thời gian; kể cả ñiều kiện ràng buộc về nhu cầu
hoạt ñộng ở tốc ñộ ñếm cao. Sự thỏa hiệp tối ưu là khả dĩ nhờ giới hạn các tác vụ xử
lý xung cho cửa sổ lấy mẫu ñộng ñược thảo luận trong phần kế tiếp.
1.4.1.2. Tái cấu trúc điện tích của sự kiện
Các thành phần cơ bản của hệ đo là đầu dị nối với tiền khuếch đại nhạy điện
tích (CSP) và khuếch đại phổ (AMP). Trong mục này, ñể ñơn giản, gọi CSP là PA
(tiền khuếch ñại). Sự kiện bức xạ bất kỳ khi tương tác với đầu dị ln sinh lượng
điện tích tỷ lệ với năng lượng bị hấp thụ, lượng điện tích đó tạo nên tín hiệu ‘bậc’ ở
ngõ ra PA, UP(t), được mơ tả bởi tích chập giữa hàm phân bố điện tích g(t) với đáp
ứng xung của PA, f(t):
+∞

U P (t ) =

∫ g (τ ) f (t − τ )dτ ,

(1.1)

−∞

Nếu thời gian tích góp điện là tức thời, g(t) sẽ trở thành hàm delta và phương
trình (1.1) (ghi tắt là pt. (1.1)) có thể được viết lại:

U (t ) = Gf (t ),


(1.2)

ở đó G là điện tích tồn phần tỷ lệ với năng lượng bức xạ bị hấp thụ. Các hệ thống

hình thành xung tương tự (APS) có sử dụng mạch vi phân để trích xuất G, được
theo sau bởi tập các mạch tích phân (dạng cổng hoặc gán trọng số). Chuỗi xử lý này
làm việc hoàn hảo chỉ khi chức năng điện tích là hàm delta-điều kiện lấy tích phân
của phép nhân chập (pt. (1.1)) để có sản phẩm là pt. (1.2). Chuỗi đó vẫn hoạt động
hiệu quả nếu thời gian góp điện tích là ngắn so với mọi thời hằng tạo dạng ñược
dùng ở nhánh ñang xử lý. Trong trường hợp các đầu dị bán dẫn thể tích lớn, thời


13

gian dịch chuyển của electron-lỗ trống không thể bỏ qua. Vai trò tương hỗ giữa thời
gian và các hằng số tạo dạng dẫn ñến sự suy giảm ñộ phân giải, ñược biết ñến như
ñộ hụt biên ñộ. Ở các tình huống như vậy, khơng thể thay thế tích phân phép nhân

chập ở pt. (1.1) bằng pt. (1.2), và giải pháp tự nhiên là áp dụng phép khử tích chập
để xử lý: phân bố điện tích ban đầu của tín hiệu ñầu dò ñược tái lập từ ngõ ra PA và

biên độ thực của điện tích tồn phần được đo. Ngồi ra, phép khử tích chập cịn loại
bỏ các ảnh hưởng về tần số-thời gian phát xuất từ các tầng thiếu hồn hảo của hệ và
làm tăng đặc trưng phân giải lẫn khả năng nhập/xuất của các hệ phổ kế bán dẫn.
Trong bối cảnh đó, phép khử tích chập được nhìn nhận là cơng cụ rất hữu hiệu để
trích xuất đúng thơng tin ngun thủy của đầu dị, và trước hết phải tiến hành lượng
tử hóa tín hiệu PA bởi bộ A/D nhanh. Trong miền số, tích phân của các hàm liên tục
trở thành tổng của các hàm phân bố rời rạc [21]:



U P (itS ) = ∑ g ( jtS ) f (itS − jtS ),

(1.3)

j =0

ở đó tS là chu kỳ lấy mẫu, tức chu kỳ lượng tử hóa của UP(t).

UP(t) là thành phần ñược quan sát bởi bộ A/D, bao gồm ñáp ứng xung của PA
và ñáp ứng của điện tử tương tự bố trí giữa ngõ ra PA và ngõ vào bộ A/D. UP(itS) là
biểu diễn thời gian-rời rạc bằng số của UP(t). Tương tự như vậy, f(t) là đáp ứng
xung tồn phần của điện tử tương tự nằm trước bộ A/D, và f(itS) là biểu diễn thời
gian rời rạc bằng số của f(t). Nếu thang thời gian được chuẩn hóa theo tS, pt. (1.3)
trở nên:


U P (i ) = ∑ g ( j ) f (i − j ),

(1.4)

j =0

Thực ra, pt. (1.4) là tập các phương trình phải được giải theo g(j). Nhiệm vụ
này rất khó thực thi theo thời gian thực ngay cả bằng máy tính lớn, song có thể đơn
giản hóa q trình này. Thứ nhất, thành phần tương tự của hệ, bao gồm PA, thông
thường là bất biến với thời gian và chịu tác động nhân quả. Quan hệ tựa nhân quả
nói lên rằng ngõ ra chỉ phụ thuộc vào các giá trị quá khứ và hiện tại ở ngõ vào, tức
chỉ phụ thuộc vào lượng điện tích có trước và hiện thời tích lũy trong đầu dị. Điều
đó có nghĩa:



14

g ( j ) = 0;

∀ j > i,

(1.5)

ở đó i là dòng tức thời tương ứng với mẫu dòng UP(i) xuất từ bộ A/D. Từ ñây, pt.

(1.4) trở nên:
i

U P (i ) = ∑ g ( j ) f (i − j );

∀i > z,

(1.6)

j=z

với z là tham chiếu thời gian tùy ý.
Số các phương trình trong tập pt. (1.6) có thể được rút gọn hơn nữa khi thừa
nhận hàm điện tích bị giới hạn phía phải, tức phân bố điện tích ở các điều kiện bình
thường ln có giới hạn theo thời gian. Giả định rằng khơng có điện tích nào liên
kết với sự kiện đơn bên ngồi chu kỳ quan sát (còn gọi là cửa sổ quan sát) có độ dài
chuẩn tắc M, lúc đó số phương trình thuộc tập pt. (1.6) được rút gọn tới M, và ñáp
ứng xung của f(t) sẽ xác ñịnh theo các ñiều kiện ñúng. Hàm này có thể biểu diễn
ñược bằng số hoặc bằng phân tích và cả hai kiểu biểu diễn đều khả dĩ khi chúng

đảm nhiệm vai trị đặc trưng hóa thành phần tương tự có PA một cách thỏa đáng.

Các PA kiểu xóa bằng tranzistor (TRP) là trường hợp ñặc biệt; và ñối với chúng sự
sự suy giảm biên độ tiến về zero và xung dạng bậc có thăng giáng nhỏ do các ảnh
hưởng đã nêu trên. Vì phần tương tự ñứng trước bộ A/D nên xung bậc có thể sinh ra
các giá trị tương quan của các mẫu. Thơng thường mối tương quan đó sẽ làm suy
giảm ñộ chính xác và khả năng triệt tạp âm của tồn hệ. Tạp âm ngõ vào hoạt động
theo mối tương quan vừa nêu, tuy nhiên để có suy giảm hàm mũ trong ñáp ứng
xung của thành phần tương tự, tức là có ít nhất một cực trong hàm truyền là điều
vẫn ln mong muốn. Việc này có thể thực hiện ñược nhờ bổ sung tầng vi phân sau
PA. Bên cạnh ñó, tác vụ lấy vi phân cho phép sử dụng tốt hơn dải ñộng ngõ vào của
bộ A/D. Với tầng vi phân bổ sung, các TRP sẽ kết nối phù hợp với thành phần tuyến
tính đơn hoặc đa cực trước tầng lượng tử hóa. Tương tự, đối với các PA phản hồi
bằng trở (RFP) có phân rã hàm mũ chậm, tầng lấy vi phân có thể được bổ sung ngay
ngõ vào bộ A/D. Trong cả hai trường hợp, các nội cực (internal poles) phải ñược bù
trừ.
Giả ñịnh rằng ñáp ứng xung của thành phần tương tự ñược biết trước, tập các
pt. (1.6) có thể giải được nhờ ma trận {g}(z, z+M), có M phần tử liên kết cửa sổ (z,


×